Socket
Socket
Sign inDemoInstall

@yowasp/yosys

Package Overview
Dependencies
Maintainers
1
Versions
30
Alerts
File Explorer

Advanced tools

Socket logo

Install Socket

Detect and block malicious and high-risk dependencies

Install

@yowasp/yosys - npm Package Compare versions

Comparing version 0.0.0-experimental3 to 0.0.0-experimental4

2

package.json

@@ -1,1 +0,1 @@

{"name": "@yowasp/yosys", "version": "0.0.0-experimental3", "description": "Yosys Open SYnthesis Suite", "author": "Catherine <whitequark@whitequark.org>", "license": "ISC", "homepage": "https://yowasp.org/", "repository": {"type": "git", "url": "git+https://github.com/YoWASP/yosys.git"}, "bugs": {"url": "https://github.com/YoWASP/yosys/issues"}, "type": "module", "files": ["gen/*.json", "gen/*.wasm", "gen/bundle-*.js"], "exports": {"node": "./gen/bundle-node.js", "browser": "./gen/bundle-browser.js"}, "dependencies": {"@yowasp/runtime": "^2.0.6"}, "devDependencies": {"@bytecodealliance/jco": "^0.14.1", "esbuild": "^0.19.8"}, "scripts": {"pack": "yowasp-pack-resources ../yosys-build/share gen/yosys-share.json", "transpile": "jco new ../yosys-build/yosys.wasm --wasi-command --output yosys.wasm && jco transpile yosys.wasm --instantiation async --no-typescript --no-namespaced-exports --map 'wasi:io/*=runtime#io' --map 'wasi:cli/*=runtime#cli' --map 'wasi:clocks/*=runtime#*' --map 'wasi:filesystem/*=runtime#fs' --out-dir gen/", "build:node": "esbuild --bundle lib/api.js --outfile=gen/bundle-node.js --format=esm --platform=node", "build:browser": "esbuild --bundle lib/api.js --outfile=gen/bundle-browser.js --format=esm --platform=browser"}}
{"name": "@yowasp/yosys", "version": "0.0.0-experimental4", "description": "Yosys Open SYnthesis Suite", "author": "Catherine <whitequark@whitequark.org>", "license": "ISC", "homepage": "https://yowasp.org/", "repository": {"type": "git", "url": "git+https://github.com/YoWASP/yosys.git"}, "bugs": {"url": "https://github.com/YoWASP/yosys/issues"}, "type": "module", "files": ["gen/*.json", "gen/*.wasm", "gen/bundle-*.js"], "exports": {"node": "./gen/bundle-node.js", "browser": "./gen/bundle-browser.js"}, "dependencies": {"@yowasp/runtime": "^2.0.7"}, "devDependencies": {"@bytecodealliance/jco": "^0.14.1", "esbuild": "^0.19.8"}, "scripts": {"pack": "yowasp-pack-resources ../yosys-build/share gen/yosys-share.json", "transpile": "jco new ../yosys-build/yosys.wasm --wasi-command --output yosys.wasm && jco transpile yosys.wasm --instantiation async --no-typescript --no-namespaced-exports --map 'wasi:io/*=runtime#io' --map 'wasi:cli/*=runtime#cli' --map 'wasi:clocks/*=runtime#*' --map 'wasi:filesystem/*=runtime#fs' --out-dir gen/", "build:node": "esbuild --bundle lib/api.js --outfile=gen/bundle-node.js --format=esm --platform=node", "build:browser": "esbuild --bundle lib/api.js --outfile=gen/bundle-browser.js --format=esm --platform=browser"}}

Sorry, the diff of this file is too big to display

Sorry, the diff of this file is too big to display

SocketSocket SOC 2 Logo

Product

  • Package Alerts
  • Integrations
  • Docs
  • Pricing
  • FAQ
  • Roadmap
  • Changelog

Packages

npm

Stay in touch

Get open source security insights delivered straight into your inbox.


  • Terms
  • Privacy
  • Security

Made with ⚡️ by Socket Inc